FRANCOIS


BOTMAN

PUBLICATIONS THESIS CONTACT



Selected Publications

Information not updated since September 2014.

Journal papers

D. Bol, J. De Vos, C. Hocquet, F. Botman, F. Durvaux, S. Boyd, D. Flandre, and J-D. Legat
SleepWalker: A 25-MHz 0.4-V Sub-mm2 7-µW/MHz Microcontroller in 65-nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes
IEEE J. Solid-State Circuits, vol. 48, no. 1, pp. 20-32, 2013.

F. Botman, D. Bol, J-D. Legat, and K. Roy
Data-Dependent Operation Speed-Up Through Automatically Inserted Signal Transition Detectors for Ultralow Voltage Logic Circuits
IEEE Trans. Very Large Scale Integr. Syst., 2014.




Invited tutorials and keynotes

D. Bol, J. De Vos, F. Botman, G. de Streel, S. Bernard, D. Flandre, and J-D. Legat
Green SoCs for a Sustainable Internet-of-Things
Proc. Workshop Faible Tension Faible Consommation (FTFC), 4 p., 2013.




Related conference papers

D. Bol, C. Hocquet, J. De Vos, F. Durvaux, F. Botman, D. Flandre, and J-D. Legat
Design Techniques for Reliable Timing Closure in Ultra-LowVoltage Logic SoCs
Proc. IEEE Subthreshold Microelectronics Conference, 2011.

C. Hocquet, F. Botman, D. Bol, and J-D. Legat
A near-threshold instruction cache with zero miss overhead time for dual- Vdd microcontrollers
Proc. IEEE Subthreshold Microelectronics Conference, 2011.

F. Botman, D. Bol, C. Hocquet, and J-D. Legat
Exploring the Opportunity of Operating a COTS FPGA at 0.5V
Proc. IEEE Subthreshold Microelectronics Conference, 2011.

D. Bol, J. De Vos, C. Hocquet, F. Botman, F. Durvaux, S. Boyd, D. Flandre, J-D. Legat
A 25MHz 7µW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodes
IEEE International Solid-State Circuits Conference, pp.490-492, 2012.

F. Botman, D. Bol, and J-D. Legat
Data-dependent operation speedup through automatically-inserted signal transition detectors for ultra-low voltage logic circuits
Proc. IEEE Subthreshold Microelectronics Conference, 2012.

F. Botman, J. De Vos, S. Bernard, J-D. Legat, D. Bol
Bellevue: a 50MHz Variable-Width SIMD 32bit Microcontroller at 0.37V for ProcessingIntensive Wireless Sensor Nodes
IEEE International Symposium on Circuits and Systems, 2014.

D. Bol, G. de Streel, F. Botman, A. Kuti Lusala and N. Couniot
A 65-nm 17-pJ/frame.pixel 0.5-V DPS CMOS Image Sensor for Ultra-LowPower SoCs achieving 40-dB Dynamic Range
IEEE Symposium on VLSI Circuits, 2014.